aboutsummaryrefslogtreecommitdiffstats
path: root/lib/smarty/libs/plugins/shared.literal_compiler_param.php
diff options
context:
space:
mode:
authorfriendica <info@friendica.com>2012-07-18 03:59:10 -0700
committerfriendica <info@friendica.com>2012-07-18 03:59:10 -0700
commit22cf19e174bcee88b44968f2773d1bad2da2b54d (patch)
treef4e01db6f73754418438b020c2327e18c256653c /lib/smarty/libs/plugins/shared.literal_compiler_param.php
parent7a40f4354b32809af3d0cfd6e3af0eda02ab0e0a (diff)
downloadvolse-hubzilla-22cf19e174bcee88b44968f2773d1bad2da2b54d.tar.gz
volse-hubzilla-22cf19e174bcee88b44968f2773d1bad2da2b54d.tar.bz2
volse-hubzilla-22cf19e174bcee88b44968f2773d1bad2da2b54d.zip
bad sync with github windows client
Diffstat (limited to 'lib/smarty/libs/plugins/shared.literal_compiler_param.php')
-rw-r--r--lib/smarty/libs/plugins/shared.literal_compiler_param.php33
1 files changed, 0 insertions, 33 deletions
diff --git a/lib/smarty/libs/plugins/shared.literal_compiler_param.php b/lib/smarty/libs/plugins/shared.literal_compiler_param.php
deleted file mode 100644
index dbcd9374c..000000000
--- a/lib/smarty/libs/plugins/shared.literal_compiler_param.php
+++ /dev/null
@@ -1,33 +0,0 @@
-<?php
-/**
- * Smarty plugin
- *
- * @package Smarty
- * @subpackage PluginsShared
- */
-
-/**
- * evaluate compiler parameter
- *
- * @param array $params parameter array as given to the compiler function
- * @param integer $index array index of the parameter to convert
- * @param mixed $default value to be returned if the parameter is not present
- * @return mixed evaluated value of parameter or $default
- * @throws SmartyException if parameter is not a literal (but an expression, variable, …)
- * @author Rodney Rehm
- */
-function smarty_literal_compiler_param($params, $index, $default=null)
-{
- // not set, go default
- if (!isset($params[$index])) {
- return $default;
- }
- // test if param is a literal
- if (!preg_match('/^([\'"]?)[a-zA-Z0-9]+(\\1)$/', $params[$index])) {
- throw new SmartyException('$param[' . $index . '] is not a literal and is thus not evaluatable at compile time');
- }
-
- $t = null;
- eval("\$t = " . $params[$index] . ";");
- return $t;
-}